Cadence Q DRIVE SERIES Instrukcja Użytkownika

Przeglądaj online lub pobierz Instrukcja Użytkownika dla Wzmacniacze audio Cadence Q DRIVE SERIES. Synthesis and Timing Verification Tutorial By Dr. Ahmet Bindal Instrukcja obsługi

  • Pobierz
  • Dodaj do moich podręczników
  • Drukuj
  • Strona
    / 14
  • Spis treści
  • BOOKMARKI
  • Oceniono. / 5. Na podstawie oceny klientów
Przeglądanie stron 0
Synthesis and Timing Verification Tutorial
By
Dr. Ahmet Bindal
Computer Engineering Department
San Jose State University
Przeglądanie stron 0
1 2 3 4 5 6 ... 13 14

Podsumowanie treści

Strona 1 - San Jose State University

Synthesis and Timing Verification TutorialByDr. Ahmet BindalComputer Engineering DepartmentSan Jose State University

Strona 2 - A. Synthesis

C. OptimizationOne last thing you should experiment is to optimize your gate-level netlist to reduce thepropagation delay between the flip-flop bound

Strona 3

Appendix AVerilog RTL files:(a) The top level-module, my_design.v:module my_design (bypass0, bypass1, module_clock, rst, a, b, cin, sel, out_sum,out_c

Strona 4 - Module Browser

(c) ff.v:module ff (clk, rst, d, q);input clk, rst, d;output q;reg q;always @(posedge clk)beginif(rst)q = 0;elseq = d;endendmodule(d) mux.v:module

Strona 5

Appendix BTo understand the timing report, first right-click on your mouse button when you are onthe schematic window. Select “worst path” to highlig

Strona 6 - B. Timing Verification

timing.rpt file:+--------------------------------------------+| Report | report_timing ||---------------------+----------------------|| Options | >

Strona 7 - Tperiod - Tlate

A. SynthesisThis tutorial introduces the basics of Cadence’s Synthesis and Timing Verification tool(Ambit BuildGates Synthesis), and how to obtain a

Strona 8 - “report.tcl”

(ii) Prepare the “environment” template for Synthesis, “setup.tcl”Generate the setup.tcl file in “synthesis” directory.setup.tcl file:proc setup {} {

Strona 9 - View the reports

(c) Reading Verilog RTL files:In order to have Synthesis tool read your Verilog files go to the “ac_shell” window, andtype the following:ac_shell>

Strona 10 - C. Optimization

(f) Zoom in and out on the schematic:To zoom in, use the left mouse button, click and hold on the schematic and movedownward.You can also use the “zo

Strona 11 - Appendix A

B. Timing Verification(i) Prepare the “timing verification” template for timing verification tool,“timing.tcl”You need to generate another template i

Strona 12 - (d) mux.v:

DQDQDQDQINPUT PORTS OUTPUT PORTSPrevious ModuleNext ModuleMy ModuleSet Input Delayto (tclkq+Tin)Set External Delayto (tsu+Tex)Previous Module must sup

Strona 13 - Appendix B

(ii) Define the top-level module for timing verificationJust like what you have done for Synthesis you need to define the top-level module fortiming

Strona 14

As you can see this script generates a “report” and a “netlist” directory under“synthesis” directory. It subsequently forms 3 files, timing.rpt, area

Komentarze do niniejszej Instrukcji

Brak uwag